Stock Events

Lam Research 

$1,081
1021
+$8.18+0.76% Wednesday 19:54

Estadísticas

Día Alto
1,091.65
Día de baja
-
52W Alto
1,101.81
52W Bajo
567.95
Volumen
427,028
Volumen medio
981,738
Cap. de mercado
141.51B
Relación P/U
39.82
Rentabilidad por dividendo
0.74%
Dividendo
8

Próximamente

Dividendos

0.74%Rentabilidad por dividendo
Tasa de crecimiento en 10 años
30.94%
Tasa de crecimiento en 5 años
12.2%
Tasa de crecimiento en 3 años
12.62%
Tasa de crecimiento en 1 año
7.38%

Ganancias

24JulConfirmado
Q4 2022
Q1 2023
Q2 2023
Q3 2023
Q4 2023
Q1 2024
Siguiente
5.12
6.98
8.85
10.71
GPA esperado
7.538282
GPA actual
No aplica

La gente también sigue a

Esta lista se basa en las watchlists de personas que siguen a LRCX en Stock Events. Esto no es una recomendación de inversión.

Competidores

Esta lista es un análisis basado en eventos recientes del mercado. No es una recomendación de inversión.
Applied Materials
AMAT
Cap. de mercado195.39B
Applied Materials, Inc. es un competidor directo que ofrece equipos de procesamiento de semiconductores similares utilizados en la fabricación de circuitos integrados.
KLA
KLAC
Cap. de mercado111.01B
KLA Corporation ofrece soluciones de control de procesos y gestión de rendimiento para la industria de semiconductores, compitiendo en el mismo espacio que Lam Research.
ASML NV
ASML
Cap. de mercado408.67B
ASML Holding NV es un proveedor líder de equipos de fotolitografía utilizados en la fabricación de semiconductores, compitiendo indirectamente con los equipos de grabado y deposición de Lam.
Teradyne
TER
Cap. de mercado23.15B
Teradyne, Inc. ofrece equipos de prueba automatizados para semiconductores, lo cual complementa la oferta de Lam Research, convirtiéndolos en competidores indirectos.
Lam Research
LRCX
Cap. de mercado139.22B
Tokyo Electron Limited fabrica equipos de producción de semiconductores, compitiendo directamente con Lam Research en múltiples áreas de productos.
Ultra Clean Hldgs
UCTT
Cap. de mercado2.19B
Ultra Clean Holdings, Inc. proporciona subsistemas críticos para la industria de semiconductores, compitiendo con Lam Research en la cadena de suministro de equipos de fabricación de semiconductores.
Entegris
ENTG
Cap. de mercado20.42B
Entegris, Inc. suministra materiales y soluciones para procesos de fabricación en la industria de semiconductores, compitiendo indirectamente con Lam Research.
MKS Instruments
MKSI
Cap. de mercado8.76B
MKS Instruments, Inc. ofrece instrumentos, subsistemas y soluciones de control de procesos para la fabricación de semiconductores, compitiendo en el mismo ecosistema que Lam Research.
Advanced Energy Industries
AEIS
Cap. de mercado4.07B
Advanced Energy Industries, Inc. suministra soluciones de conversión de energía utilizadas en la fabricación de semiconductores, compitiendo indirectamente con Lam Research en el mercado de equipos de semiconductores.

Calificación de los analistas

1,044.5$Precio medio objetivo
La valoración más alta es $1,325.
De 16 valoraciones en los últimos 6 meses. Esto no es una recomendación de inversión.
Comprar
75%
Activo
25%
Vender
0%

Acerca de

Electronic Technology
Electronic Production Equipment
Manufacturing
Semiconductor and Related Device Manufacturing
Lam Research Corporation designs, manufactures, markets, refurbishes, and services semiconductor processing equipment used in the fabrication of integrated circuits. The company offers ALTUS systems to deposit conformal films for tungsten metallization applications; SABRE electrochemical deposition products for copper interconnect transition that offers copper damascene manufacturing; SOLA ultraviolet thermal processing products for film treatments; and VECTOR plasma-enhanced CVD ALD products. It also provides SPEED gapfill high-density plasma chemical vapor deposition products; and Striker single-wafer atomic layer deposition products for dielectric film solutions. In addition, the company offers Flex for dielectric etch applications; Kiyo for conductor etch applications; Syndion for through-silicon via etch applications; and Versys metal products for metal etch processes. Further, it provides Coronus bevel clean products to enhance die yield; Da Vinci, DV-Prime, EOS, and SP series products to address various wafer cleaning applications; and Metryx mass metrology systems for high precision in-line mass measurement in semiconductor wafer manufacturing. The company sells its products and services to semiconductors industry in the United States, China, Europe, Japan, Korea, Southeast Asia, Taiwan, and internationally. Lam Research Corporation was incorporated in 1980 and is headquartered in Fremont, California.
Show more...
Director general
Timothy Archer
Empleados
17200
País
US
ISIN
US5128071082
WKN
000869686

Listados