Stock Events

Lam Research 

$1,081
1021
+$8.18+0.76% Wednesday 19:54

Estatísticas

Ponto mais alto do dia
1,091.65
Ponto mais baixo do dia
-
Ponto mais alto em 52 semanas
1,101.81
Ponto mais baixo em 52 semanas
567.95
Volume
427,028
Volume méd.
981,738
Limite da capitalização de mercado
141.51B
Rácio PER
39.82
Rendimento de dividendos
0.74%
Dividendo
8

Em breve

Dividendos

0.74%Rendimento de dividendos
Crescimento a 10 anos
30.94%
Crescimento a 5 anos
12.2%
Crescimento a 3 anos
12.62%
Crescimento a 1 ano
7.38%

Rendimentos

24JulConfirmado
Q4 2022
Q1 2023
Q2 2023
Q3 2023
Q4 2023
Q1 2024
Seguinte
5.12
6.98
8.85
10.71
Resultados por ação esperados
7.538282
Resultados por ação reais
N/D

As pessoas também seguem

Esta lista baseia-se nas listas de observação de pessoas na Stock Events que seguem LRCX. Não é uma recomendação de investimento.

Concorrentes

Esta lista é uma análise baseada em eventos recentes do mercado. Não é uma recomendação de investimento.
Applied Materials
AMAT
Limite da capitalização de mercado195.39B
A Applied Materials, Inc. é uma concorrente direta, oferecendo equipamentos de processamento de semicondutores semelhantes usados na fabricação de circuitos integrados.
KLA
KLAC
Limite da capitalização de mercado111.01B
A KLA Corporation oferece soluções de controle de processos e gerenciamento de rendimento para a indústria de semicondutores, competindo no mesmo espaço que a Lam Research.
ASML NV
ASML
Limite da capitalização de mercado408.67B
A ASML Holding NV é uma fornecedora líder de equipamentos de fotolitografia usados na fabricação de semicondutores, competindo indiretamente com os equipamentos de gravação e deposição da Lam.
Teradyne
TER
Limite da capitalização de mercado23.15B
A Teradyne, Inc. oferece equipamentos de teste automatizados para semicondutores, que são complementares às ofertas da Lam Research, tornando-as concorrentes indiretas.
Lam Research
LRCX
Limite da capitalização de mercado139.22B
A Tokyo Electron Limited fabrica equipamentos de produção de semicondutores, competindo diretamente com a Lam Research em várias áreas de produtos.
Ultra Clean Hldgs
UCTT
Limite da capitalização de mercado2.19B
A Ultra Clean Holdings, Inc. fornece subsistemas críticos para a indústria de semicondutores, competindo com a Lam Research na cadeia de suprimentos de equipamentos de fabricação de semicondutores.
Entegris
ENTG
Limite da capitalização de mercado20.42B
A Entegris, Inc. fornece materiais e soluções para processos de fabricação na indústria de semicondutores, competindo indiretamente com a Lam Research.
MKS Instruments
MKSI
Limite da capitalização de mercado8.76B
A MKS Instruments, Inc. oferece instrumentos, subsistemas e soluções de controle de processos para fabricação de semicondutores, competindo no mesmo ecossistema que a Lam Research.
Advanced Energy Industries
AEIS
Limite da capitalização de mercado4.07B
A Advanced Energy Industries, Inc. fornece soluções de conversão de energia utilizadas na fabricação de semicondutores, competindo indiretamente com a Lam Research no mercado de equipamentos de semicondutores.

Classificações de analistas

1,044.5$Preço-alvo médio
A estimativa mais alta é $1,325.
Desde 16 classificações nos últimos 6 meses. Isto não é uma recomendação de investimento.
Comprar
75%
Manter
25%
Vender
0%

Sobre

Electronic Technology
Electronic Production Equipment
Manufacturing
Semiconductor and Related Device Manufacturing
Lam Research Corporation designs, manufactures, markets, refurbishes, and services semiconductor processing equipment used in the fabrication of integrated circuits. The company offers ALTUS systems to deposit conformal films for tungsten metallization applications; SABRE electrochemical deposition products for copper interconnect transition that offers copper damascene manufacturing; SOLA ultraviolet thermal processing products for film treatments; and VECTOR plasma-enhanced CVD ALD products. It also provides SPEED gapfill high-density plasma chemical vapor deposition products; and Striker single-wafer atomic layer deposition products for dielectric film solutions. In addition, the company offers Flex for dielectric etch applications; Kiyo for conductor etch applications; Syndion for through-silicon via etch applications; and Versys metal products for metal etch processes. Further, it provides Coronus bevel clean products to enhance die yield; Da Vinci, DV-Prime, EOS, and SP series products to address various wafer cleaning applications; and Metryx mass metrology systems for high precision in-line mass measurement in semiconductor wafer manufacturing. The company sells its products and services to semiconductors industry in the United States, China, Europe, Japan, Korea, Southeast Asia, Taiwan, and internationally. Lam Research Corporation was incorporated in 1980 and is headquartered in Fremont, California.
Show more...
CEO
Timothy Archer
Funcionários
17200
País
US
ISIN
US5128071082
WKN
000869686

Listagens