Stock Events

KLA 

M$15,210
723
-M$52.57-0.34% Monday 19:09

Estadísticas

Día Alto
15,210
Día de baja
15,105
52W Alto
15,262.57
52W Bajo
7,758
Volumen
30
Volumen medio
179
Cap. de mercado
1.13T
Relación P/U
17.38
Rentabilidad por dividendo
0.64%
Dividendo
97.63

Próximamente

Dividendos

0.64%Rentabilidad por dividendo
Tasa de crecimiento en 10 años
14.56%
Tasa de crecimiento en 5 años
10.35%
Tasa de crecimiento en 3 años
7.63%
Tasa de crecimiento en 1 año
3.74%

Ganancias

24JulConfirmado
Q4 2022
Q1 2023
Q2 2023
Q3 2023
Q4 2023
Q1 2024
Siguiente
4.87
5.71
6.54
7.38
GPA esperado
6.15
GPA actual
No aplica

La gente también sigue a

Esta lista se basa en las watchlists de personas que siguen a KLAC.MX en Stock Events. Esto no es una recomendación de inversión.

Competidores

Esta lista es un análisis basado en eventos recientes del mercado. No es una recomendación de inversión.
Applied Materials
AMAT
Cap. de mercado195.39B
Applied Materials, Inc. es un competidor directo que ofrece equipos de procesamiento de semiconductores similares utilizados en la fabricación de circuitos integrados.
Lam Research
LRCX
Cap. de mercado139.22B
Lam Research Corporation compite en el mercado de equipos de semiconductores, proporcionando equipos de fabricación de obleas y servicios similares a KLA.
ASML NV
ASML
Cap. de mercado408.67B
ASML Holding N.V. es un proveedor líder de equipos de fotolitografía, un proceso clave en la fabricación de semiconductores, lo que lo convierte en un competidor.
Teradyne
TER
Cap. de mercado23.15B
Teradyne, Inc. proporciona equipos de prueba automatizados para semiconductores, compitiendo con las soluciones de inspección y metrología de KLA.
Advantage Solutions
ADV
Cap. de mercado1.04B
Advantest Corporation fabrica y vende sistemas de prueba para semiconductores, compitiendo en el mercado de pruebas de semiconductores con KLA.
Kulicke & Soffa Industries
KLIC
Cap. de mercado2.73B
Kulicke and Soffa Industries, Inc. compite en el mercado de equipos semiconductores, especialmente en el área de ensamblaje y empaquetado, complementando el proceso de fabricación.
Ultra Clean Hldgs
UCTT
Cap. de mercado2.19B
Ultra Clean Holdings, Inc. ofrece subsistemas críticos para la industria de semiconductores, compitiendo en la cadena de suministro de equipos de fabricación de semiconductores.
Veeco Instruments
VECO
Cap. de mercado2.65B
Veeco Instruments Inc. produce equipos para aplicaciones de procesos de película delgada y compite en el mercado de equipos semiconductores, particularmente en tecnologías de deposición.
Photronics
PLAB
Cap. de mercado1.56B
Photronics, Inc. se dedica a la fabricación de fotomáscaras, un componente clave en la litografía de semiconductores, compitiendo indirectamente con KLA en la cadena de suministro de producción de semiconductores.

Acerca de

KLA Corporation designs, manufactures, and markets process control, process-enabling, and yield management solutions for the semiconductor and related electronics industries worldwide. It operates through four segments: Semiconductor Process Control; Specialty Semiconductor Process; PCB, Display and Component Inspection; and Other. The company offers integrated circuit (IC) manufacturing products that comprises wafer inspection and review, and metrology; wafer and substrate defect inspection and metrology; reticle defect inspection and metrology; chemical/materials quality analysis; in situ process management and wafer handling diagnostics for IC and original equipment manufacturer (OEM) manufacturing; software products to provide run-time process control, defect excursion identification, process corrections, and defect classification; and refurbished and remanufactured products. It also provides specialty semiconductor manufacturing, benchtop metrology, surface characterization, and electrical property measurement services for general purpose/ lab applications; etch, plasma dicing, deposition, and other wafer processing technologies and solutions for the semiconductor and microelectronics industry. In addition, the company offers direct imaging, inspection, optical shaping, additive printing, and computer-aided manufacturing and engineering solutions for the PCB market; inspection and electrical testing systems to identify and classify defects, as well as systems to repair defects for the display market; and inspection and metrology systems for quality control and yield improvement in advanced and traditional semiconductor packaging markets. The company was formerly known as KLA-Tencor Corporation and changed its name to KLA Corporation in July 2019. KLA Corporation was incorporated in 1975 and is headquartered in Milpitas, California.
Show more...
Director general
Mr. Richard P. Wallace
Empleados
14000
País
United States
ISIN
US4824801009
WKN
000865884

Listados