Stock Events

KLA 

$833.52
713
+$5.73+0.69% Oggi

Statistiche

In rialzo oggi
-
In ribasso oggi
817.9
In rialzo da 52 settimane
876.55
In ribasso da 52 settimane
434.03
Volume
150,632
Volume medio
788,790
Cap. di mercato
112.22B
Rapporto P/E
43.66
Rendimento da dividendi
0.7%
Dividendo
5.84

Prossimamente

Dividendi

0.7%Rendimento da dividendi
Crescita a 10 anni
11.81%
Crescita a 5 anni
13.35%
Crescita a 3 anni
14.14%
Crescita a 1 anno
8.41%

Guadagni

25JulConfermato
Q4 2022
Q1 2023
Q2 2023
Q3 2023
Q4 2023
Q1 2024
Avanti
4.87
5.71
6.54
7.38
EPS attesi
6.156238
EPS effettivi
N/D

Le persone seguono anche

Questo elenco si basa sulle watchlist delle persone su Stock Events che seguono KLAC. Non rappresenta una raccomandazione d'investimento.

Concorrenti

Questo elenco è un'analisi basata su recenti eventi di mercato. Non è una raccomandazione di investimento.
Applied Materials
AMAT
Cap. di mercato195.39B
Applied Materials, Inc. è un concorrente diretto che offre attrezzature per il trattamento dei semiconduttori simili utilizzate nella fabbricazione di circuiti integrati.
Lam Research
LRCX
Cap. di mercato139.22B
Lam Research Corporation è in competizione nel mercato degli equipaggiamenti per semiconduttori, fornendo attrezzature per la fabbricazione di wafer e servizi simili a KLA.
ASML NV
ASML
Cap. di mercato408.67B
ASML Holding N.V. è un importante fornitore di attrezzature per la fotolitografia, un processo chiave nella produzione di semiconduttori, che lo rende un concorrente.
Teradyne
TER
Cap. di mercato23.15B
Teradyne, Inc. fornisce attrezzature di test automatico per semiconduttori, competendo con le soluzioni di ispezione e metrologia di KLA.
Advantage Solutions
ADV
Cap. di mercato1.04B
Advantest Corporation produce e vende sistemi di test per semiconduttori, competendo nel mercato dei test di semiconduttori con KLA.
Kulicke & Soffa Industries
KLIC
Cap. di mercato2.73B
Kulicke and Soffa Industries, Inc. è in competizione nel mercato delle attrezzature per semiconduttori, in particolare nell'ambito del montaggio e dell'imballaggio, completando il processo di fabbricazione.
Ultra Clean Hldgs
UCTT
Cap. di mercato2.19B
Ultra Clean Holdings, Inc. offre sottosistemi critici per l'industria dei semiconduttori, competendo nella catena di fornitura per l'equipaggiamento di produzione di semiconduttori.
Veeco Instruments
VECO
Cap. di mercato2.65B
Veeco Instruments Inc. produce apparecchiature per applicazioni di processo a film sottile, competendo nel mercato delle apparecchiature per semiconduttori, in particolare nelle tecnologie di deposizione.
Photronics
PLAB
Cap. di mercato1.56B
Photronics, Inc. è coinvolta nella produzione di fotomaschere, un componente chiave nella litografia dei semiconduttori, competendo indirettamente con KLA nella catena di approvvigionamento della produzione di semiconduttori.

Rating degli analisti

766.75$Obiettivo di prezzo medio
La stima più elevata è $950.
Da 17 valutazioni negli ultimi 6 mesi. Non rappresenta una raccomandazione d'investimento.
Acquista
59%
Detenuti
41%
Vendi
0%

Info

Electronic Technology
Electronic Production Equipment
Manufacturing
Optical Instrument and Lens Manufacturing
KLA Corporation designs, manufactures, and markets process control, process-enabling, and yield management solutions for the semiconductor and related electronics industries worldwide. It operates through four segments: Semiconductor Process Control; Specialty Semiconductor Process; PCB, Display and Component Inspection; and Other. The company offers integrated circuit (IC) manufacturing products that comprises wafer inspection and review, and metrology; wafer and substrate defect inspection and metrology; reticle defect inspection and metrology; chemical/materials quality analysis; in situ process management and wafer handling diagnostics for IC and original equipment manufacturer (OEM) manufacturing; software products to provide run-time process control, defect excursion identification, process corrections, and defect classification; and refurbished and remanufactured products. It also provides specialty semiconductor manufacturing, benchtop metrology, surface characterization, and electrical property measurement services for general purpose/ lab applications; etch, plasma dicing, deposition, and other wafer processing technologies and solutions for the semiconductor and microelectronics industry. In addition, the company offers direct imaging, inspection, optical shaping, additive printing, and computer-aided manufacturing and engineering solutions for the PCB market; inspection and electrical testing systems to identify and classify defects, as well as systems to repair defects for the display market; and inspection and metrology systems for quality control and yield improvement in advanced and traditional semiconductor packaging markets. The company was formerly known as KLA-Tencor Corporation and changed its name to KLA Corporation in July 2019. KLA Corporation was incorporated in 1975 and is headquartered in Milpitas, California.
Show more...
CEO
Richard Wallace
Dipendenti
15000
Paese
US
ISIN
US4824801009
WKN
000865884

Quotazioni