Stock Events

KLA 

$814.22
774
-$11.45-1.39% Friday 15:30

Statistiche

In rialzo oggi
-
In ribasso oggi
-
In rialzo da 52 settimane
-
In ribasso da 52 settimane
-
Volume
-
Volume medio
-
Cap. di mercato
-
Rapporto P/E
0.36
Rendimento da dividendi
0.71%
Dividendo
5.8

Prossimamente

Dividendi

0.71%Rendimento da dividendi
Crescita a 10 anni
11.81%
Crescita a 5 anni
13.35%
Crescita a 3 anni
14.14%
Crescita a 1 anno
8.41%

Guadagni

23OctConfermato
Q1 2023
Q2 2023
Q3 2023
Q4 2023
Q1 2024
Q2 2024
Avanti
4.87
5.59
6.31
7.03
EPS attesi
7.026055
EPS effettivi
N/D

Le persone seguono anche

Questo elenco si basa sulle watchlist delle persone su Stock Events che seguono 0JPO.LSE. Non rappresenta una raccomandazione d'investimento.

Concorrenti

Questo elenco è un'analisi basata su recenti eventi di mercato. Non è una raccomandazione di investimento.
Applied Materials
AMAT
Cap. di mercato158.29B
Applied Materials, Inc. è un concorrente diretto che offre attrezzature per il trattamento dei semiconduttori simili utilizzate nella fabbricazione di circuiti integrati.
Lam Research
LRCX
Cap. di mercato105.63B
Lam Research Corporation è in competizione nel mercato degli equipaggiamenti per semiconduttori, fornendo attrezzature per la fabbricazione di wafer e servizi simili a KLA.
ASML NV
ASML
Cap. di mercato343.86B
ASML Holding N.V. è un importante fornitore di attrezzature per la fotolitografia, un processo chiave nella produzione di semiconduttori, che lo rende un concorrente.
Teradyne
TER
Cap. di mercato19.86B
Teradyne, Inc. fornisce attrezzature di test automatico per semiconduttori, competendo con le soluzioni di ispezione e metrologia di KLA.
Advantage Solutions
ADV
Cap. di mercato1.18B
Advantest Corporation produce e vende sistemi di test per semiconduttori, competendo nel mercato dei test di semiconduttori con KLA.
Kulicke & Soffa Industries
KLIC
Cap. di mercato2.21B
Kulicke and Soffa Industries, Inc. è in competizione nel mercato delle attrezzature per semiconduttori, in particolare nell'ambito del montaggio e dell'imballaggio, completando il processo di fabbricazione.
Ultra Clean Hldgs
UCTT
Cap. di mercato1.63B
Ultra Clean Holdings, Inc. offre sottosistemi critici per l'industria dei semiconduttori, competendo nella catena di fornitura per l'equipaggiamento di produzione di semiconduttori.
Veeco Instruments
VECO
Cap. di mercato2.07B
Veeco Instruments Inc. produce apparecchiature per applicazioni di processo a film sottile, competendo nel mercato delle apparecchiature per semiconduttori, in particolare nelle tecnologie di deposizione.
Photronics
PLAB
Cap. di mercato1.37B
Photronics, Inc. è coinvolta nella produzione di fotomaschere, un componente chiave nella litografia dei semiconduttori, competendo indirettamente con KLA nella catena di approvvigionamento della produzione di semiconduttori.

Info

KLA Corporation designs, manufactures, and markets process control, process-enabling, and yield management solutions for the semiconductor and related electronics industries worldwide. It operates through three segments: Semiconductor Process Control; Specialty Semiconductor Process; and PCB, Display and Component Inspection. The company offers inspection and review tools to identify, locate, characterize, review, and analyze defects on various surfaces of patterned and unpatterned wafers; metrology systems that are used to measure pattern dimensions, film thickness, film stress, layer-to-layer alignment, pattern placement, surface topography, and electro-optical properties for wafers; Wafer defect inspection, review, and metrology systems; reticle inspection and metrology systems; chemical process control equipment; wired and wireless sensor wafers and reticles; and semiconductor software solutions that provide run-time process control, defect excursion identification, process corrections, and defect classification to accelerate yield learning rates and reduce production risk. It also provides etch, plasma dicing, deposition, and other wafer processing technologies and solutions for the semiconductor and microelectronics industry. In addition, the company offers direct imaging, inspection, optical shaping, inkjet and additive printing, UV laser drilling and computer-aided manufacturing and engineering solutions for the PCB market; inspection and electrical testing systems to identify and classify defects, as well as systems to repair defects for the display market; and inspection and metrology systems for quality control and yield improvement in advanced and traditional semiconductor packaging markets. The company was formerly known as KLA-Tencor Corporation and changed its name to KLA Corporation in July 2019. KLA Corporation was incorporated in 1975 and is headquartered in Milpitas, California.
Show more...
CEO
Mr. Richard P. Wallace
Paese
US
ISIN
US4824801009
WKN
000865884

Quotazioni