Stock Events

Lam Research 

$1,062.1
1018
+$1.47+0.14% Oggi

Statistiche

In rialzo oggi
-
In ribasso oggi
-
In rialzo da 52 settimane
1,101.81
In ribasso da 52 settimane
567.95
Volume
136,540
Volume medio
988,238
Cap. di mercato
138.86B
Rapporto P/E
39.08
Rendimento da dividendi
0.75%
Dividendo
8.01

Prossimamente

Dividendi

0.75%Rendimento da dividendi
Crescita a 10 anni
30.94%
Crescita a 5 anni
12.2%
Crescita a 3 anni
12.62%
Crescita a 1 anno
7.38%

Guadagni

24JulConfermato
Q4 2022
Q1 2023
Q2 2023
Q3 2023
Q4 2023
Q1 2024
Avanti
5.12
6.98
8.85
10.71
EPS attesi
7.538282
EPS effettivi
N/D

Le persone seguono anche

Questo elenco si basa sulle watchlist delle persone su Stock Events che seguono LRCX. Non rappresenta una raccomandazione d'investimento.

Concorrenti

Questo elenco è un'analisi basata su recenti eventi di mercato. Non è una raccomandazione di investimento.
Applied Materials
AMAT
Cap. di mercato195.39B
Applied Materials, Inc. è un concorrente diretto che offre attrezzature per il trattamento dei semiconduttori simili utilizzate nella fabbricazione di circuiti integrati.
KLA
KLAC
Cap. di mercato111.01B
KLA Corporation fornisce soluzioni di controllo dei processi e di gestione dei rendimenti per l'industria dei semiconduttori, competendo nello stesso settore di Lam Research.
ASML NV
ASML
Cap. di mercato408.67B
ASML Holding NV è un importante fornitore di attrezzature per fotolitografia utilizzate nella produzione di semiconduttori, in competizione indiretta con le attrezzature di incisione e deposizione di Lam.
Teradyne
TER
Cap. di mercato23.15B
Teradyne, Inc. offre attrezzature di test automatizzate per semiconduttori, che sono complementari alle offerte di Lam Research, rendendoli concorrenti indiretti.
Lam Research
LRCX
Cap. di mercato139.22B
Tokyo Electron Limited produce attrezzature per la produzione di semiconduttori, competendo direttamente con Lam Research in diverse aree di prodotto.
Ultra Clean Hldgs
UCTT
Cap. di mercato2.19B
Ultra Clean Holdings, Inc. fornisce sottosistemi critici per l'industria dei semiconduttori, competendo con Lam Research nella catena di fornitura per l'equipaggiamento di produzione di semiconduttori.
Entegris
ENTG
Cap. di mercato20.42B
Entegris, Inc. fornisce materiali e soluzioni per i processi di produzione nell'industria dei semiconduttori, competendo indirettamente con Lam Research.
MKS Instruments
MKSI
Cap. di mercato8.76B
MKS Instruments, Inc. offre strumenti, sottosistemi e soluzioni di controllo dei processi per la produzione di semiconduttori, competendo nello stesso ecosistema di Lam Research.
Advanced Energy Industries
AEIS
Cap. di mercato4.07B
Advanced Energy Industries, Inc. fornisce soluzioni di conversione di energia utilizzate nella produzione di semiconduttori, competendo indirettamente con Lam Research nel mercato delle attrezzature per semiconduttori.

Rating degli analisti

1,044.5$Obiettivo di prezzo medio
La stima più elevata è $1,325.
Da 16 valutazioni negli ultimi 6 mesi. Non rappresenta una raccomandazione d'investimento.
Acquista
75%
Detenuti
25%
Vendi
0%

Info

Electronic Technology
Electronic Production Equipment
Manufacturing
Semiconductor and Related Device Manufacturing
Lam Research Corporation designs, manufactures, markets, refurbishes, and services semiconductor processing equipment used in the fabrication of integrated circuits. The company offers ALTUS systems to deposit conformal films for tungsten metallization applications; SABRE electrochemical deposition products for copper interconnect transition that offers copper damascene manufacturing; SOLA ultraviolet thermal processing products for film treatments; and VECTOR plasma-enhanced CVD ALD products. It also provides SPEED gapfill high-density plasma chemical vapor deposition products; and Striker single-wafer atomic layer deposition products for dielectric film solutions. In addition, the company offers Flex for dielectric etch applications; Kiyo for conductor etch applications; Syndion for through-silicon via etch applications; and Versys metal products for metal etch processes. Further, it provides Coronus bevel clean products to enhance die yield; Da Vinci, DV-Prime, EOS, and SP series products to address various wafer cleaning applications; and Metryx mass metrology systems for high precision in-line mass measurement in semiconductor wafer manufacturing. The company sells its products and services to semiconductors industry in the United States, China, Europe, Japan, Korea, Southeast Asia, Taiwan, and internationally. Lam Research Corporation was incorporated in 1980 and is headquartered in Fremont, California.
Show more...
CEO
Timothy Archer
Dipendenti
17200
Paese
US
ISIN
US5128071082
WKN
000869686

Quotazioni