Stock Events

Lam Research 

€74.87
1174
+€3.2+4.46% Wednesday 15:32

Estatísticas

Ponto mais alto do dia
748.7
Ponto mais baixo do dia
718.8
Ponto mais alto em 52 semanas
1,046.6
Ponto mais baixo em 52 semanas
546.9
Volume
0
Volume méd.
0
Limite da capitalização de mercado
59.97B
Rácio PER
13.48
Rendimento de dividendos
1.1%
Dividendo
0.83

Em breve

Dividendos

1.1%Rendimento de dividendos
Crescimento a 10 anos
34.03%
Crescimento a 5 anos
14.18%
Crescimento a 3 anos
17.88%
Crescimento a 1 ano
13.14%

Rendimentos

16OctEsperado
Q1 2023
Q2 2023
Q3 2023
Q4 2023
Q1 2024
Q2 2024
Seguinte
5.12
6.13
7.13
8.14
Resultados por ação esperados
8.049386
Resultados por ação reais
N/D

As pessoas também seguem

Esta lista baseia-se nas listas de observação de pessoas na Stock Events que seguem LRCX.VI. Não é uma recomendação de investimento.

Concorrentes

Esta lista é uma análise baseada em eventos recentes do mercado. Não é uma recomendação de investimento.
Applied Materials
AMAT
Limite da capitalização de mercado162.62B
A Applied Materials, Inc. é uma concorrente direta, oferecendo equipamentos de processamento de semicondutores semelhantes usados na fabricação de circuitos integrados.
KLA
KLAC
Limite da capitalização de mercado110.15B
A KLA Corporation oferece soluções de controle de processos e gerenciamento de rendimento para a indústria de semicondutores, competindo no mesmo espaço que a Lam Research.
ASML NV
ASML
Limite da capitalização de mercado359.96B
A ASML Holding NV é uma fornecedora líder de equipamentos de fotolitografia usados na fabricação de semicondutores, competindo indiretamente com os equipamentos de gravação e deposição da Lam.
Teradyne
TER
Limite da capitalização de mercado22.31B
A Teradyne, Inc. oferece equipamentos de teste automatizados para semicondutores, que são complementares às ofertas da Lam Research, tornando-as concorrentes indiretas.
Lam Research
LRCX
Limite da capitalização de mercado106.63B
A Tokyo Electron Limited fabrica equipamentos de produção de semicondutores, competindo diretamente com a Lam Research em várias áreas de produtos.
Ultra Clean Hldgs
UCTT
Limite da capitalização de mercado1.7B
A Ultra Clean Holdings, Inc. fornece subsistemas críticos para a indústria de semicondutores, competindo com a Lam Research na cadeia de suprimentos de equipamentos de fabricação de semicondutores.
Entegris
ENTG
Limite da capitalização de mercado17.49B
A Entegris, Inc. fornece materiais e soluções para processos de fabricação na indústria de semicondutores, competindo indiretamente com a Lam Research.
MKS Instruments
MKSI
Limite da capitalização de mercado8.02B
A MKS Instruments, Inc. oferece instrumentos, subsistemas e soluções de controle de processos para fabricação de semicondutores, competindo no mesmo ecossistema que a Lam Research.
Advanced Energy Industries
AEIS
Limite da capitalização de mercado4B
A Advanced Energy Industries, Inc. fornece soluções de conversão de energia utilizadas na fabricação de semicondutores, competindo indiretamente com a Lam Research no mercado de equipamentos de semicondutores.

Sobre

Lam Research Corporation designs, manufactures, markets, refurbishes, and services semiconductor processing equipment used in the fabrication of integrated circuits. The company offers ALTUS systems to deposit conformal films for tungsten metallization applications; SABRE electrochemical deposition products for copper interconnect transition that offers copper damascene manufacturing; SOLA ultraviolet thermal processing products for film treatments; and VECTOR plasma-enhanced CVD ALD products. It also provides SPEED gapfill high-density plasma chemical vapor deposition products; and Striker single-wafer atomic layer deposition products for dielectric film solutions. In addition, the company offers Flex for dielectric etch applications; Kiyo for conductor etch applications; Syndion for through-silicon via etch applications; and Versys metal products for metal etch processes. Further, it provides Coronus bevel clean products to enhance die yield; Da Vinci, DV-Prime, EOS, and SP series products to address various wafer cleaning applications; and Metryx mass metrology systems for high precision in-line mass measurement in semiconductor wafer manufacturing. The company sells its products and services to semiconductors industry in the United States, China, Europe, Japan, Korea, Southeast Asia, Taiwan, and internationally. Lam Research Corporation was incorporated in 1980 and is headquartered in Fremont, California.
Show more...
CEO
Mr. Timothy M. Archer
Funcionários
18700
País
United States
ISIN
US5128071082

Listagens